品玩

科技创新者的每日必读

打开APP
关闭
业界动态

英特尔代工合作伙伴为EMIB先进封装技术提供参考流程

shuohang

发布于 7月9日

在摩尔定律的旅程中,先进封装技术正发挥着越来越重要的作用,通过堆叠技术的创新,可以在单个设备中集成更多的晶体管。目前的大多数芯片都采用了异构架构设计,先进封装技术也让设备中采用不同制程技术、来自不同厂商、执行不同功能的芯粒能够在一起妥善工作,从而提高性能并降低功耗。

EMIB(嵌入式多芯片互连桥接)是英特尔的一种2.5D先进封装技术,支持把不同的芯片放在同一块平面上相互连接。传统的2.5D封装是在芯片和基板间的硅中介层上进行布线,EMIB则是通过一个嵌入基板内部的单独芯片完成互连。

作为一种高成本效益的方法,EMIB简化了设计流程,并带来了设计灵活性。EMIB技术已在英特尔自己的产品中得到了验证,如第四代英特尔®至强®处理器、至强6处理器和英特尔Stratix®10 FPGA。代工客户也对EMIB技术越来越感兴趣。

为了让客户能够利用这项技术,英特尔代工正积极与EDA和IP伙伴合作,确保他们的异构设计工具、流程、方法以及可重复使用的IP块都得到了充分的启用和资格认证。Ansys、Cadence、Siemens和Synopsys已宣布,为英特尔EMIB先进封装技术提供参考流程:

●  Ansys正在与英特尔代工合作,以完成对EMIB技术热完整性、电源完整性和机械可靠性的签发验证,范围涵盖先进制程节点和不同的异构封装平台。

●  Cadence宣布,完整的EMIB 2.5D封装流程,用于Intel 18A的数字和定制/模拟流程,以及用于Intel 18A的设计IP均已可用。

●  Siemens宣布将向英特尔代工客户开放EMIB参考流程,此前,Siemens还宣布了面向Intel 16、Intel 3和Intel 18A节点的Solido™模拟套件验证。

●  Synopsys宣布为英特尔代工的EMIB先进封装技术提供AI驱动的多芯片参考流程,以加速多芯片产品的设计开发。

IP和EDA生态系统对任何代工业务都至关重要,英特尔代工一直在努力打造强大的代工生态系统,并将继续通过代工服务让客户能够更轻松、快速地优化、制造和组装其SOC(系统级芯片)设计,同时为其设计人员提供经过验证的EDA工具、设计流程和IP组合,以实现硅通孔封装设计。

在AI时代,芯片架构越来越需要在单个封装中集成多个CPU、GPU和NPU以满足性能要求。英特尔的系统级代工能够帮助客户在堆栈的每一层级进行创新,从而满足AI时代复杂的计算需求,加速推出下一代芯片产品。

下载品玩App,比99.9%的人更先知道关于「业界动态」的新故事

下载品玩App

比99.9%的人更先知道关于「业界动态」的新故事

iOS版本 Android版本
立即下载
shuohang

这家伙很懒,什么也没留下,却只想留下你!

取消 发布
AI阅读助手
以下有两点提示,请您注意:
1. 请避免输入违反公序良俗、不安全或敏感的内容,模型可能无法回答不合适的问题。
2. 我们致力于提供高质量的大模型问答服务,但无法保证回答的准确性、时效性、全面性或适用性。在使用本服务时,您需要自行判断并承担风险;
感谢您的理解与配合
该功能目前正处于内测阶段,尚未对所有用户开放。如果您想快人一步体验产品的新功能,欢迎点击下面的按钮申请参与内测 申请内测